Contact Holes: Optical Area Measurement Predicts Printability
and is Highly Repeatable

Glen Scheid a, Darren Taylor b and Peter Fiekowsky c

aLSI Logic, 23400 NE Gilsan Street m/s R-220, Gresham OR USA
bPhotronics, 601 Millennium Dr. Allen TX, USA
cAutomated Visual Inspection, 952 S. Springer Rd. Los Altos, CA USA

Presented at Photomask Japan 2001, Paper 4409-11, April 2001


ABSTRACT

Small contact holes are the most difficult structures for microlithography to print because their sizes match the resolution of the stepper. Thus a mask hole that is a little too small won’t print at all. It is widely accepted that the size of the contact hole on the wafer is controlled by the total light flux that gets through the contact hole on the mask. This is demonstrated by the fact that normal small contact holes print round on the wafer, no matter what shape they were on the mask. Therefore it is the area, not the shape that affects the wafer print.

Contact holes have traditionally been measured in height and width. Recently, technology has been introduced to estimate "corner rounding", so that a combination of height, width, and corner rounding could be used to estimate the area of the contact. The introduction of the flux-area technique allows direct measurement of the optical area of features as small as l/4, e.g. 0.15 m using visible light (Fiekowsky and Selassie, 1999; Fiekowsky, 2000). These measurements have been shown to be linear, repeatable, and highly correlated to what prints on the wafer.

This study examines the accuracy and repeatability of optical contact hole area measurements using visible light and I-line illumination with the AVI Metrology system. A test mask is designed and printed by Photronics. It is measured with the AVI Photomask Metrology system taking images from a KLA353uv inspection system and a Zeiss AIMS tool, and a SEM. The mask is then printed at LSI Logic on a 0.25 m m process. The contact sizes on the wafer are measured on a SEM.

Results show that optical measurements from the AVI system correlate to the printed contact size as well as SEM measurements of the mask, and twice as well as conventional optical measurements. Furthermore, optical mask measurements at the mask shop and the fab correlate within 20 nm despite the use of different wavelengths, and measurement with and without pellicles.

Keywords: Photomask Metrology, SEM, Contact holes, Flux-Area


INTRODUCTION

As the semiconductor industry moves towards the 0.13 mm technology node it has become increasingly important to understand the impact of reticle CD error on the wafer lithography process. To do this a correlation needs to be developed between reticle CD and wafer CD. One of the most difficult correlations to develop is for the contact layer. The printability of a contact hole on a wafer is a direct function of the light flux through that hole on the reticle. Therefore, it is the area of the hole that matters, not necessarily the shape. However, on the reticle, contact hole area is not traditionally measured, instead height and width are measured on a light microscope using the same method as lines and spaces. For this reason, wafer fabs are increasingly demanding that SEMs be used to measure contact hole area. One problem with this is that SEM measurements in a fab would require the removal and replacement of the pellicle, which is expensive and time consuming. In addition, multiple reticle CD SEM measurements are still under development and SEM measurements may lead to greater cycle times. Finally, current SEM area measurements appear to depend on the contact shape, so some defects, such as a corner defect, are likely to cause inaccurate area measurements.

Recently a new technology has been developed that estimates "corner rounding", so that a combination of height, width, and corner rounding could be used to estimate the area of the contact using a light microscope. The introduction of this flux-area technique allows direct measurement of the optical area of features as small as l/6, e.g. 0.08 m using visible light (Fiekowsky and Selassie, 1999; Fiekowsky, 2000). These measurements have been shown to be linear, repeatable, and highly correlated to wafer printability.

This study compares the correlation between wafer CD and reticle CD sizes using two different methods for reticle CD measurements: KLA8100-R CD SEM area measurements and the AVI Photomask metrology software. The data shows that the flux-area measurements from the AVI Photomask metrology software results in a equally good correlation to wafer sizes as area measurements from the KLA8100-R. Both result in a significantly improved correlation over the current light microscope method with KMS310.


AVI's FLUX-AREA MEASUREMENT TECHNIQUE

The flux-area technique allows the measurement of features much smaller than l, and provides accuracy and repeatability in the range of l/100 (5 nm with visible light). Rather than edge to edge dimension, it measures optical area, which correlates to printability on the wafer.1 The technique consists of integrating the total light flux that is transmitted by a feature, figure 1, converting that flux to square pixels, and then scaling pixels to microns.

Figure 1. Integration of feature flux minus background.

In the flux-area technique the clear-chrome contrast around the region of interest is measured, giving the chrome contrast in digitizer levels (a constant times milliwatts per pixel). Next a region of interest is defined which includes the feature to be measured plus enough margin to include 99% of the blurred light. Then the local background intensity is determined and subtracted. The total flux from the feature (shaded area in figure 2) is integrated, and divided by the chrome contrast,

Flux-Area =(Ixy- Ibgrnd) / (Iclear - Ichrome)

where Ixy is the intensity of a pixel in the region of interest, Ibgrnd is the background intensity in the area of the feature, and the flux-area has units of square pixels. This area is converted into linear pixels, in a contact measurement, by taking the square root.

The final step is scaling pixels to microns. This scale calibration is usually performed by measuring a line pitch on a known plate, and is normally repeatable to one part in 500. In flux-area measurement the largest error source is illumination uniformity, both spatial and temporal. Depending on the performance of the associated optics and electronics, and user procedures this limits repeatability to the range of one part in 200.

The optical qualities of the imaging system (blurring) have very little effect on measurements. Moderate changes in focus have no effect because they don't affect the total light that detected by the camera. Similarly, other factors that affect optical resolution have very little effect. The primary result of changing wavelength or NA a corresponding change in the "edge offset".


EXPERIMENTAL SETUP

A test mask was written on an ALTA3500 e-beam writer with a dry etch process and laid out with contacts at 600, 800, 1000 and 1200 nm. Each of these 4 sizes had mask OPCs added from 0 to 160 nm in 40 nm increments. Figure 2 shows representative contacts, on the mask and printed.

The mask was measured 3 ways at the mask shop. First the mask was measured with the AVI Photomask Metrology software using images from a KLA353uv. Then DUV images were taken from a Zeiss AIMS tool. Finally, SEM measurements were taken with a KLA8100-R CD SEM, using KLA’s area algorithm. Other algorithms, such as edge-to-edge were tested, but the SEM area algorithm proved most consistent with the wafer measurements.

The mask was then transferred to the wafer fab and measured with a long working distance 50X objective on a KMS310. The mask was printed onto un-patterned silicon wafers with an oxide stack using a Nikon 248 nm DUV scanner at 4X with an NA of 0.6 and a sigma of 0.7. The process run on the Nikon was optimized for 1 micron sized holes with no OPC. As expected from the process, 0.6 nm holes on the reticle did not print. One die was chosen on the wafer for measurement and was measured on a KLA8100 CD SEM. This same die was re-measured 3 days later on the same tool for a tool repeatability check.

The data from the 3 methods of mask CD measurements were correlated with the wafer CD data. Results are shown in the next section.

 

 

Figure 2. Top: 600 nm contact holes on mask: 0 and 160 nm OPC, and smallest printed hole on wafer (from 800 nm mask contact). Note that contact prints round in every case. Bottom: 1200 nm contact on wafer, with 0 and 160 nm OPC, and printed result from wafer. Mask images are from KLA8100 SEM. The star pattern is an artifact on the mask from the electron beam.


RESULTS

There were four different contact sizes, and each contact size had five different OPC sizes. For each of these 20 contact types three contacts were measured, giving a total of 60 different contacts. The most difficult measurements are the wafer measurements because of the small size and relatively large thickness. For this reason the wafer measurements were taken five times each, and repeated on a second day. The optical measurements were performed once for each contact.

The AVI was calibrated to the default KLA pixel size, 0.186 microns, with 8x electronic magnification. The AVI system measures total flux transmitted through the contact hole, so it is sensitive to illumination level. No specific intensity measurements were made on the KLA images, so it was assumed that the KLA intensity was constant over the duration of the measurements and across the field of view. It turns out that the latter assumption was invalid, with approximately a 1% intensity variation across the parts of the image that were used.

On the 8100 SEMs, AIMS, LWM and KMS systems, the current calibrations were used. Data consistency is shown in Figure 3. It is clear that the wafer process is optimized for 250 nm contacts. In this 4X process contacts that measured 1000 nm on the mask with a SEM printed 246 nm on the wafer as measured with a SEM. As expected, the 800 nm mask contacts printed much smaller (127 nm) than the 200 nm one would expect with a linear system, and the 600 nm contacts did not print at all.

Figure 3. Printed size vs. mask. Note that process is optimized for 250 nm contacts, and that 600 nm mask contacts are expected to not print. Total of 45 contacts in sample. Higher dispersion of data on 120 nm contacts is due to poor repeatability of wafer measurements at this small size (1 s =5nm, compared to 3nm on the larger contacts).


Figure 4. AVI Flux-area mask measurements compared to SEM. In each group the smallest contacts have zero OPC, the largest have 160 nm OPC. Due to different techniques, flux-area and SEM measurements interpret sharp corners differently.

The raw AVI contact measurements are consistently smaller than the SEM measurements because diffraction of light off the edges of the chrome causes the opacity of the chrome to extend somewhat beyond its edge. Given the wavelength of the image source (a KLA353uv) and its NA, the correction in this case was 166 nm. This correction is added to all flux-area contact sizes in this study.

It is not yet clear exactly how the AVI and SEM interpret corners differently. Figure 4 shows that on the 600 nm contacts the SEM underestimated the area relative to the AVI, and on the 1200 nm contacts the SEM overestimated the area relative to the AVI. We do not have a description of the SEM algorithm for interpreting corners. The AVI does not interpret the corners; it simply measures the total flux through the contact, including the corners.

Having verified that the Flux-Area contact sizes are reasonably consistent with the SEM sizes, Figure 5 shows that both are equally consistent with the wafer contact sizes. The scale of Figure 5 is the same as Figure 4, and it can be seen that the AVI and SEM values are equally dispersed, and that the variation of SEM-Wafer or AVI-Wafer is several times larger than AVI – SEM. The mean deviation between AVI and SEM was 2.6 nm (on the wafer), while the mean deviations between both mask measurements and the wafer was 50% larger, 4 nm.

This means that any improvement of SEM or AVI or AVI over SEM would be invisible compared to the noise in the wafer SEM measurements. The very large noise level on the wafer measurements of the smallest contacts (those printed from the 800 nm mask contacts) is probably due to the fact that those wafer contacts are half the diameter of the next larger group, and therefore have one fourth the area, and so they are four times more susceptible to edge roughness noise.

Figure 5. Mask measurement deviation from wafer measurement. Note that flux-area measurement errors coincide with SEM mask measurement errors. Each size group is offset to have an average error of zero (correcting for nonlinearity of printed size)

The values in Figure 5 were computed by determining the average size of each contact size group (Table 1), and then subtracting from each contact size the mean of its size group. This process is required for this data because the wafer contact sizes are non-linear, as seen in Figure 2. In production the same effect is accomplished by measuring the deviation of contact sizes from the desired value, which is determined experimentally.

Table 1: Average contact sizes by group
  Wafer AVI - Mask SEM -Mask
600 0 554 552
800 127 786 788
1000 246 1000 1001
1200 319 1210 1208

Having seen that the flux-area measurements are as accurate as the SEM measurements in predicting wafer contact size, we ask if these values are better than conventional edge-to-edge optical measurements. Unfortunately no edge-to-edge measurements of the mask contacts have been made yet. Figure 6 displays data taken in a previous study where 20 contacts, each from a different chip design, were measured on a KMS-300 at LSI Logic, and the same images used by the AVI to compute the flux-area size. The deviation of the 20 points from the fitted line was 2.4 times more with the KMS edge-to-edge data.

In that study the masks came from two different vendors, and as expected, the flux-area technique appeared to measure the contact size consistently with the printed size on the wafer despite the fact that the masks were written with different tools. As in this study, the deviation in the wafer SEM measurements was approximately twice the deviation in the optical mask measurements.

Another important concern regarding any metrology technology is reproducibility. Here that is the ability to measure contacts and get the same sizes at the mask shop and the fab. That work has not yet been done with the mask in this study, but similar work was done with a contact test mask. The results are shown in Figure 7. Note that in the two data sets (square contacts of varying sizes, and contacts with edge defects of varying sizes) the measurement deviation between the two systems was within 1.5% of the contact size, despite very different optics.

  

Figure 6. Comparison of Flux-Area measurements of a set of 20 contacts, each from a different chip design, and KMS edge-to-edge measurements of the same contacts, plotted against averaged wafer contact sizes.


Figure 7. Cross-platform correlation: Difference between Flux-Area measurements of mask contacts made with images from a KLA363, and with a KMS-400. The two data sets represent different contact shapes. Data courtesy of PSMC and UMC.


CONCLUSIONS

The results of this study indicate that optical Flux-Area measurements of mask contacts correlate to wafer contact size equally well as SEM mask measurements. The data show that the wafer contact size measurements are a larger source of error than the mask measurements.

The flux-area measurements correlate equally well with wafer sizes over the whole range of tested corner rounding (simulated by mask OPC). This is important because it means that flux-area measurements will predict printability equally well with masks from different writers or vendors—using the AVI metrology technique, masks from different vendors could be intermixed.

Our data indicate that the flux-area technique provides lower noise and more accurate measurements than conventional edge-to-edge techniques using the same images, and that the accuracy of the flux-area technique appears to stay equal to SEM even on the smallest contacts in this study, 600 nm. Other data (not yet published) shows this correlation to SEM on contact holes as small as 350nm,using white light illumination.

Finally, the flux-area technique gives substantially the same results on different imaging platforms using the standard single point calibration (measuring the pitch of a known line array).

Because the AVI takes images from an optical tool, it is easier to use and does not require pellicle removal, so it is especially well suited for use in a fab. Furthermore, its treatment of various corners on a mask is closer to that of the stepper than that of conventional CD techniques. This means that AVI measurements of mask contact sizes predict printed size accurately with any writing tool, e-beam or laser.


FUTURE WORK

The AVI does depend on uniform illumination, which requires procedural changes when measuring on a KMS microscope, so work will be done to simplify that procedure. Further data on smaller contacts will be interesting, and useful for assessment of EUV masks. Work is also needed to improve Wafer SEM contact measurement to improve process feedback.

 

Correspondence for Peter Fiekowsky: Email: peter@aviphotomask.com; Web: www.aviphotomask.com;
Phone +1-650-941-6871; Fax 650-941-4821;
Automated Visual Inspection, 952 S. Springer Road, Los Altos, CA 94024


AVI Home
AVI Photomask Metrology System
System Support
Technical Data
Upcoming Shows
Contact AVI